CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 状态机

搜索资源列表

  1. 61003107

    0下载:
  2. 公 共 电 话 通 话 计 费 系 统 在本课程中所选择的课题是用Verilog HDL实现的公共电话。该公共电话所实现的功能有打电话、修改密码。 公共电话共包括以下几个状态:挂机、待机、身份确认、修改密码、通话等五个状态。-The pay phone converses to charge system In this course the topic chosen is use Verilog HDL carry out of pay phone.The function carri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:399020
    • 提供者:杨进
  1. telephone

    0下载:
  2. 利用verilog语言设计公共电话共包括以下几个状态:挂机、待机、身份确认、修改密码、通话等五个状态。内含详细的源码以及设计过程、模块-The use of public telephones were verilog language design include the following states: hang up, standby, identification, change passwords, call the five states. Includes a detailed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:397840
    • 提供者:
  1. traffic_control

    0下载:
  2. 使用verilog语言编写的双向交通信号控制灯程序,通过状态机转换实现车行道和人行道功能,以cyclone IV系列开发板做为应用平台。-Verilog language using two-way traffic signal control lights procedures, driveway and sidewalk functions via a state machine transition to cyclone IV Series development board as the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3657494
    • 提供者:郑俊哲
  1. VendingMac

    0下载:
  2. Verilog实现的自动售货机,使用有限状态机进行处理。包括Modelsim和Spnplify的综合工程。-Verilog realize vending machines, using a finite state machine for processing. Including integrated engineering and Spnplify of Modelsim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:238880
    • 提供者:scarab
  1. zhuangtaiji

    0下载:
  2. verilog一个有趣的状态机事例,简单易懂。适用于初学者。是一个小游戏的,sparten板子可用。 内含测试。-Verilog an interesting state machine case, simple and easy to understand. Suitable for beginners. Is a small game, sparten board available. Inclusion test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:481164
    • 提供者:张家郡
  1. waterlights_fsm

    0下载:
  2. 采用Verilog语言,编写三段式状态机,实现流水灯操作,已测试验证通过-Using Verilog language, written in three-state machine to achieve water lights operating, it has been verified by test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2986852
    • 提供者:杨增健
  1. FSM

    0下载:
  2. Verilog编写的FPGA有限状态机一段式描述。-The FSM of FPGA based on Verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2332680
    • 提供者:xida
  1. loveyou

    0下载:
  2. Verilog实现love you 状态机的小例子-a small example of the realization of the love you state machine with Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:35702
    • 提供者:下一个雨天
  1. FPGA_SDRAM

    0下载:
  2. UART作为RS232协议的控制接口得到了广泛的应用,将UART的功能集成在FPGA芯片中,可使整个系统更为灵活、紧凑,减小整个电路的体积,提高系统的可靠性和稳定性。提出了一种基于FPGA的UART的实现方法,具体描述了发送、接收等模块的设计,恰当使用了有限状态机,实现了FPGA片上UART的设计,给出了仿真结果。-fpga verilog uart sram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:20303362
    • 提供者:jackwu
  1. RISC_CPU

    0下载:
  2. RISC_CPU 设计练习这是用verilog写的一个基于状态机的简易RISC_CPU的设计,里面包含各个模块,每个模块经过仿真没有问题,整个工程在板子上经过试验。--This is a verilog to write a simple RISC_CPU based state machine design, which contains various modules, each module through simulation without problems, the whole pr
  3. 所属分类:assembly language

    • 发布日期:2017-05-09
    • 文件大小:1556723
    • 提供者:Dong
  1. FPGA_Project

    0下载:
  2. USB 2.0的数据传输verilog程序,采用的是slave状态机实现其功能。其中包括读、写功能 -USB 2.0 data transfer verilog program, using the slave state machine functionality. Including reading and writing functions
  3. 所属分类:source in ebook

    • 发布日期:2017-05-17
    • 文件大小:4118527
    • 提供者:小王
  1. sequence_detector

    1下载:
  2. verilog之序列检测,vivado工程,使用状态机的方式检测任意长度的数据顺序,提供四个检测工程,并全部带有Testbench,保证你能方便的学会序列检测这个知识点。-Data in a sequential manner to detect any length of sequence detection verilog, vivado engineering, using a state machine provides four detection project, and all w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-03
    • 文件大小:245760
    • 提供者:贾俊超
  1. StateMachine

    0下载:
  2. VERILOG语言,ISE13.4实现的步进电梯的状态机,可以仿真。-VERILOG language, ISE13.4 achieve step elevator state machine can be simulated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:207772
    • 提供者:tom
  1. CIC_verilog

    0下载:
  2. 采用verilog实现的三级CIC抽取器,输入8位数据,输出26位数据,使用有限状态机用于实现下采样,包括积分器实现模块和梳状器实现模块-Using verilog to achieve three CIC decimation filter, the input 8-bit data output 26-bit data, the use of finite state machines for sampling, including the integrator and comb to im
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1143
    • 提供者:刘建涛
  1. state_led_one

    0下载:
  2. 基于verilog HDL的状态机8位流水灯(一个按键控制左转和右转),开发环境Diamond 3.7(64-bit);FPGA采用LCMXO2-1200HC-4MG132C;时钟25M;开发板:与非网小脚丫-Based verilog HDL state machine eight light water (a key control buttons turn left and turn right), the development environment Diamond 3.7 (64-b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:204229
    • 提供者:申奥迪
  1. SDRAM

    0下载:
  2. sdram 状态机驱动源程序工程 完全使用verilog hdl写的-sdram state machine driver source project written entirely in verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4498794
    • 提供者:许明
  1. FSW

    0下载:
  2. verilog写的有限状态机(FSW)序列检测,检测到0100_01给出高电平,包含测试文件,Modelsim下仿真成功。-Verilog written finite state machine( FSW) sequence detection, detected 0100_01 given high, including the test file, Modelsim simulation success.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2143
    • 提供者:郑航
  1. project2

    0下载:
  2. 关于verilog有限状态机的设计,可以供初学者对有限状态机的设计有初步了解-About verilog finite state machine design, finite state machine for beginners to have a preliminary understanding of the design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:341655
    • 提供者:mike
  1. soda_machine_mealyamoore

    0下载:
  2. soda_machine的一个有限状态机,用verilog描述,分别有moore和mealy,还提供了testbench.-soda_machine of a finite state machine, with verilog descr iption, respectively, moore and mealy, also provides a testbench.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-14
    • 文件大小:2846
    • 提供者:LHX
  1. iic_ctrl

    0下载:
  2. 基于Verilog的IIC接口,使用状态机实现,可以支持速率参数化。-implement IIC master controller by using Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:2661
    • 提供者:陈剑冰
« 1 2 ... 9 10 11 12 13 14»
搜珍网 www.dssz.com